Model { Name "model_simulation" Version 10.3 SavedCharacterEncoding "windows-1252" ModelUUID "126117ad-df1a-436b-b41f-542e6970f84a" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.18" NumModelReferences 0 NumTestPointedSignals 0 NumProvidedFunctions 0 NumRequiredFunctions 0 NumResetEvents 0 HasInitializeEvent 0 HasTerminateEvent 0 PreCompExecutionDomainType "Unset" IsExportFunctionModel 0 SimulinkSubDomainType "Simulink" NumParameterArguments 0 NumExternalFileReferences 0 OrderedModelArguments 1 } WebScopes_FoundationPlugin "on" SLCCPlugin "on" slcheck_filter_plugin "on" NotesPlugin "on" LogicAnalyzerPlugin "on" DiagnosticSuppressor "on" AnimationPlugin "on" SimscapeDaeAutoSolver "ode23t" SimscapeModelParametersPlugin "on" EnableAccessToBaseWorkspace on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 LastSavedArchitecture "win64" Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [75.0, 0.0, 1177.0, 737.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [8] Minimized "Unset" } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [1] } Object { $PropName "EditorsInfo" $ObjectID 5 $ClassName "Simulink.EditorInfo" IsActive [1] IsTabbed [1] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [1688.0, 762.0] ZoomFactor [1.0] Offset [-35.333333333333371, 115.4999999999966] SceneRectInView [-35.333333333333371, 115.4999999999966, 1125.3333333333333, 508.0] } Array { Type "Simulink.DockComponentInfo" Dimension 2 Object { $ObjectID 6 Type "Simulink:Editor:ReferencedFiles" ID "Referenced Files" Visible [0] CreateCallback "" UserData "{\"filterShowRefModels\":\"true\",\"filterShowRefSubs\":\"true\",\"filterShowOnlyDirtyFiles\":\"false\"}\n" Floating [0] DockPosition "Left" Width [426] Height [320] Minimized "Unset" } Object { $ObjectID 7 Type "GLUE2:PropertyInspector" ID "Property Inspector" Visible [0] CreateCallback "" UserData "" Floating [0] DockPosition "Right" Width [426] Height [320] Minimized "Unset" } PropName "DockComponentsInfo" } WindowState "AAAA/wAAAAD9AAAAAgAAAAAAAAC9AAAB+PwCAAAABPsAAAAWAEQAbwBjAGsAVwBpAGQAZwBlAHQAMwEAAAAxAAAB+AAAA" "AAAAAAA+wAAABYARABvAGMAawBXAGkAZABnAGUAdAA0AAAAAAD/////AAAAAAAAAAD7AAAAUgBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0Ac" "ABvAG4AZQBuAHQALwBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0AcABvAG4AZQBuAHQAAAAAAP////8AAACTAP////sAAABgAFMAaQBtAHUAb" "ABpAG4AawA6AEUAZABpAHQAbwByADoAUgBlAGYAZQByAGUAbgBjAGUAZABGAGkAbABlAHMALwBSAGUAZgBlAHIAZQBuAGMAZQBkACAARgBpAGwAZ" "QBzAAAAAAD/////AAAAxwD///8AAAABAAAAAAAAAAD8AgAAAAH7AAAAVABHAEwAVQBFADIAOgBQAHIAbwBwAGUAcgB0AHkASQBuAHMAcABlAGMAd" "ABvAHIALwBQAHIAbwBwAGUAcgB0AHkAIABJAG4AcwBwAGUAYwB0AG8AcgAAAAAA/////wAAAoAA////AAAG0AAAA0gAAAABAAAAAgAAAAEAAAAC/" "AAAAAA=" Array { Type "Cell" Dimension 0 PropName "PersistedApps" } WindowUuid "debe7fcd-d375-46ad-82b2-ff90219b4df9" } BDUuid "" } HideAutomaticNames on SequenceViewerTimePrecision 3 SequenceViewerHistory 1000 Created "Wed Oct 11 15:39:07 2006" Creator "Silvio" ModifiedByFormat "%" LastModifiedBy "Silvio" ModifiedDateFormat "%" LastModifiedDate "Tue Oct 12 12:18:30 2021" RTWModifiedTimeStamp 555941641 ModelVersionFormat "%" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowAllPropagatedSignalLabels off PortDataTypeDisplayFormat "AliasTypeOnly" ShowEditTimeErrors on ShowEditTimeWarnings on ShowEditTimeAdvisorChecks off ShowPortUnits off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on VariantCondition off ShowLinearizationAnnotations on ShowVisualizeInsertedRTB on ShowMarkup on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off BlockVariantConditionDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off FunctionConnectors off ScheduleConnectors off BrowserLookUnderMasks off MultithreadedSim "auto" SimulationMode "normal" SILPILModeSetting "automated" SILPILSystemUnderTest "topmodel" SILPILSimulationModeTopModel "normal" SILPILSimulationModeModelRef "normal" SimTabSimulationMode "normal" CodeVerificationMode "software-in-the-loop (sil)" PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 EnablePacing off PacingRate 1 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 8 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "model_simulation" Array { Type "Cell" Dimension 1 Cell "model_simulation" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigSignalOutputPortIndex 0 ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on VariantFading on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off OrderedModelArguments on Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 9 Version "21.0.0" DisabledProps [] Description "" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 10 Version "21.0.0" DisabledProps [] Description "" Components [] StartTime "0.0" StopTime "ts" AbsTol "auto" AutoScaleAbsTol on FixedStep "auto" InitialStep "auto" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" EnableMultiTasking on ConcurrentTasks off SolverName "VariableStepDiscrete" SolverJacobianMethodControl "auto" DaesscMode "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "Auto" SolverInfoToggleStatus off IsAutoAppliedInSIP off SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" SampleTimeProperty [] DecoupledContinuousIntegration off MinimalZcImpactIntegration off ODENIntegrationMethod "ode3" } Simulink.DataIOCC { $ObjectID 11 Version "21.0.0" DisabledProps [] Description "" Components [] Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveOperatingPoint off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off VisualizeSimOutput on StreamToWorkspace off StreamVariableName "streamout" SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" LoggingToFile off DatasetSignalFormat "timeseries" LoggingFileName "out.mat" LoggingIntervals "[-inf, inf]" } Simulink.OptimizationCC { $ObjectID 12 Version "21.0.0" Array { Type "Cell" Dimension 9 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" Cell "EfficientTunableParamExpr" PropName "DisabledProps" } Description "" Components [] BlockReduction on BooleanDataType off ConditionallyExecuteInputs on DefaultParameterBehavior "Tunable" UseDivisionForNetSlopeComputation "off" GainParamInheritBuiltInType off UseFloatMulNetSlope off InheritOutputTypeSmallerThanSingle off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off CachingGlobalReferences off GlobalBufferReuse on StrengthReduction off AdvancedOptControl "" ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" BitwiseOrLogicalOp "Same as modeled" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" PassReuseOutputArgsThreshold 12 ExpressionDepthLimit 128 LocalBlockOutputs on RollThreshold 5 StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on LifeSpan "auto" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "off" AccelVerboseBuild off OptimizeBlockOrder "off" OptimizeDataStoreBuffers on BusAssignmentInplaceUpdate on DifferentSizesBufferReuse off UseRowMajorAlgorithm off OptimizationLevel "level2" OptimizationPriority "Balanced" OptimizationCustomize on LabelGuidedReuse off MultiThreadedLoops off DenormalBehavior "GradualUnderflow" EfficientTunableParamExpr off } Simulink.DebuggingCC { $ObjectID 13 Version "21.0.0" Array { Type "Cell" Dimension 1 Cell "UseOnlyExistingSharedCode" PropName "DisabledProps" } Description "" Components [] RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" StringTruncationChecking "error" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "none" InheritedTsInSrcMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" ExportedTasksRateTransMsg "none" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" FcnCallInpInsideContextMsg "error" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" UseOnlyExistingSharedCode "error" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "error" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" AllowSymbolicDim on RowMajorDimensionSupport off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceNoExplicitFinalValueMsg "none" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "none" OperatingPointInterfaceChecksumMismatchMsg "warning" NonCurrentReleaseOperatingPointMsg "error" ChecksumConsistencyForSSReuse "none" PregeneratedLibrarySubsystemCodeDiagnostic "warning" MatchCodeGenerationContextForUpdateDiagram "none" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" SymbolicDimMinMaxWarning "warning" LossOfSymbolicDimsSimulationWarning "warning" LossOfSymbolicDimsCodeGenerationWarning "error" SymbolicDimsDataTypeCodeGenerationDiagnostic "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "error" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "error" SFTransitionOutsideNaturalParentDiag "warning" SFUnreachableExecutionPathDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" SFOutputUsedAsStateInMooreChartDiag "error" SFTemporalDelaySmallerThanSampleTimeDiag "warning" SFSelfTransitionDiag "warning" SFExecutionAtInitializationDiag "warning" SFMachineParentedDataDiag "error" IntegerSaturationMsg "warning" AllowedUnitSystems "all" UnitsInconsistencyMsg "warning" AllowAutomaticUnitConversions on RCSCRenamedMsg "warning" RCSCObservableMsg "warning" ForceCombineOutputUpdateInSim off UnitDatabase "" UnderSpecifiedDimensionMsg "none" DebugExecutionForFMUViaOutOfProcess off ArithmeticOperatorsInVariantConditions "error" VariantConditionMismatch "none" } Simulink.HardwareCC { $ObjectID 14 Version "21.0.0" DisabledProps [] Description "" Components [] ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 64 ProdBitPerSizeT 64 ProdBitPerPtrDiffT 64 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "Float" ProdIntDivRoundTo "Zero" ProdEndianess "LittleEndian" ProdWordSize 64 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "Intel->x86-64 (Windows64)" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetBitPerSizeT 32 TargetBitPerPtrDiffT 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown on ProdEqTarget on UseEmbeddedCoderFeatures on UseSimulinkCoderFeatures on HardwareBoardFeatureSet "EmbeddedCoderHSP" } Simulink.ModelReferenceCC { $ObjectID 15 Version "21.0.0" DisabledProps [] Description "" Components [] UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" EnableRefExpFcnMdlSchedulingChecks on CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelDependencies "" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 16 Version "21.0.0" DisabledProps [] Description "" Components [] SimCustomSourceCode "" SimCustomHeaderCode "" SimCustomInitializer "" SimCustomTerminator "" SimReservedNameArray [] SimUserSources "" SimUserIncludeDirs "" SimUserLibraries "" SimUserDefines "" SimCustomCompilerFlags "" SimCustomLinkerFlags "" SFSimEcho on SimCtrlC on SimIntegrity "on" SimUseLocalCustomCode on SimParseCustomCode on SimAnalyzeCustomCode off SimDebugExecutionForCustomCode off SimGenImportedTypeDefs off ModelFunctionsGlobalVisibility "on" CompileTimeRecursionLimit 50 EnableRuntimeRecursion on MATLABDynamicMemAlloc on MATLABDynamicMemAllocThreshold 65536 LegacyBehaviorForPersistentVarInContinuousTime off CustomCodeFunctionArrayLayout [] DefaultCustomCodeFunctionArrayLayout "NotSpecified" CustomCodeUndefinedFunction "FilterOut" CustomCodeGlobalsAsFunctionIO off DefaultCustomCodeDeterministicFunctions "None" CustomCodeDeterministicFunctions "" SimHardwareAcceleration "generic" SimTargetLang "C" GPUAcceleration off SimGPUMallocThreshold 200 SimGPUStackLimitPerThread 1024 SimGPUErrorChecks off SimGPUCustomComputeCapability "" SimGPUCompilerFlags "" SimDLTargetLibrary "mkl-dnn" SimDLAutoTuning on } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 17 Version "21.0.0" Array { Type "Cell" Dimension 16 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" Cell "GenerateMissedCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" Cell "CodeExecutionProfiling" Cell "CodeProfilingSaveOptions" Cell "CodeProfilingInstrumentation" PropName "DisabledProps" } Description "" SystemTargetFile "grt.tlc" HardwareBoard "None" ShowCustomHardwareApp off ShowEmbeddedHardwareApp off TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off PackageName "" TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" GenerateReport off RTWVerbose on RetainRTWFile off RTWBuildHooks [] ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off RTWUseLocalCustomCode on RTWUseSimCustomCode off CustomSourceCode "" CustomHeaderCode "" CustomInclude "" CustomSource "" CustomLibrary "" CustomDefine "" CustomBLASCallback "" CustomLAPACKCallback "" CustomFFTCallback "" CustomInitializer "" CustomTerminator "" Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" CustomToolchainOptions [] IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation "off" SILDebugging off TargetLang "C" GenerateGPUCode "None" IncludeBusHierarchyInRTWFileBlockHierarchyMap off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off GenerateMissedCodeReplacementReport off RTWCompilerOptimization "off" ObjectivePriorities [] RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" SharedConstantsCachingThreshold 1024 GPUKernelNamePrefix "" GPUDeviceID -1 GPUMallocMode "discrete" GPUMallocThreshold 200 GPUStackLimitPerThread 1024 GPUcuBLAS on GPUcuSOLVER on GPUcuFFT on GPUErrorChecks off GPUComputeCapability "3.5" GPUCustomComputeCapability "" GPUCompilerFlags "" GPUMaximumBlocksPerKernel 0 DLTargetLibrary "none" DLAutoTuning on DLArmComputeVersion "19.05" DLArmComputeArch "unspecified" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 18 Version "21.0.0" Array { Type "Cell" Dimension 28 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "BlockCommentType" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InternalIdentifier" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrModelFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "CustomSymbolStrUtil" Cell "CustomSymbolStrEmxType" Cell "CustomSymbolStrEmxFcn" Cell "CustomUserTokenString" Cell "ReqsInCode" PropName "DisabledProps" } Description "" Components [] Comment "" ForceParamTrailComments off GenerateComments on CommentStyle "Auto" IgnoreCustomStorageClasses on IgnoreTestpoints off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off MangleLength 1 SharedChecksumLength 8 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrModelFcn "$R$N" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" CustomSymbolStrEmxType "emxArray_$M$N" CustomSymbolStrEmxFcn "emx$M$N" CustomUserTokenString "" CustomCommentsFcn "" DefineNamingRule "None" DefineNamingFcn "" ParamNamingRule "None" ParamNamingFcn "" SignalNamingRule "None" SignalNamingFcn "" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on BlockCommentType "BlockPathComment" StateflowObjectComments off MATLABSourceComments off EnableCustomComments off InternalIdentifierFile "" InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off ReservedNameArray [] EnumMemberNameClash "error" } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 19 Version "21.0.0" Array { Type "Cell" Dimension 16 Cell "IncludeMdlTerminateFcn" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "ExistingSharedCode" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "GenerateAllocFcn" Cell "PurelyIntegerCode" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "RemoveDisableFunc" Cell "RemoveResetFunc" Cell "PreserveStateflowLocalDataDimensions" PropName "DisabledProps" } Description "" Components [] TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "NOT IN USE" TargetLangStandard "C99 (ISO)" CodeReplacementLibrary "None" UtilityFuncGeneration "Auto" MultiwordTypeDef "System defined" MultiwordLength 2048 DynamicStringBufferSize 256 GenerateFullHeader on InferredTypesCompatibility off ExistingSharedCode "" GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns off CombineSignalStateStructs off GroupInternalDataByFunction off SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on CodeInterfacePackaging "Nonreusable function" PurelyIntegerCode off SupportNonFinite on SupportComplex on SupportContinuousTime on SupportNonInlinedSFcns on RemoveDisableFunc off RemoveResetFunc off SupportVariableSizeSignals off ParenthesesLevel "Nominal" CastingMode "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant on AutosarCompliant off MDXCompliant off GRTInterface on GenerateAllocFcn off UseToolchainInfoCompliant on GenerateSharedConstants on CoderGroups [] AccessMethods [] LookupTableObjectStructAxisOrder "1,2,3,4,..." LUTObjectStructOrderExplicitValues "Size,Breakpoints,Table" LUTObjectStructOrderEvenSpacing "Size,Breakpoints,Table" ArrayLayout "Column-major" UnsupportedSFcnMsg "error" ERTHeaderFileRootName "$R$E" ERTSourceFileRootName "$R$E" ERTDataFileRootName "$R_data" UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeMexArgs "" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off MultiInstanceErrorCode "Error" } PropName "Components" } } SlCovCC.ConfigComp { $ObjectID 20 Version "21.0.0" DisabledProps [] Description "Simulink Coverage Configuration Component" Components [] Name "Simulink Coverage" CovEnable off CovScope "EntireSystem" CovIncludeTopModel on RecordCoverage off CovPath "/" CovSaveName "covdata" CovCompData "" CovMetricSettings "dw" CovFilter "" CovHTMLOptions "" CovNameIncrementing off CovForceBlockReductionOff on CovEnableCumulative on CovSaveCumulativeToWorkspaceVar off CovSaveSingleToWorkspaceVar off CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovSaveOutputData on CovOutputDir "slcov_output/$ModelName$" CovDataFileName "$ModelName$_cvdata" CovReportOnPause on CovModelRefEnable "off" CovModelRefExcluded "" CovExternalEMLEnable on CovSFcnEnable on CovBoundaryAbsTol 1e-05 CovBoundaryRelTol 0.01 CovUseTimeInterval off CovStartTime 0 CovStopTime 0 CovMcdcMode "Masking" } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 0, 0, 0, 0 ] ExtraOptions "" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 9 } Object { $PropName "DataTransfer" $ObjectID 21 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NameLocation "bottom" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on HideAutomaticName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" MarkupType "model" UseDisplayTextAsClickCallback off AnnotationType "note_annotation" FixedHeight off FixedWidth off Interpreter "off" } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "opaque" RunInitForIconRedraw "analyze" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType Demux Outputs "4" DisplayOption "bar" BusSelectionMode off } Block { BlockType DigitalClock SampleTime "1" } Block { BlockType DiscreteStateSpace A "1" B "1" C "1" D "1" InitialCondition "0" SampleTime "1" StateMustResolveToSignalObject off Realization "auto" } Block { BlockType Display Format "short" Decimation "10" Floating off Lockdown off SampleTime "-1" } Block { BlockType ManualSwitch CurrentSetting "1" varsize off SampleTime "-1" } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Scope DefaultConfigurationName "Simulink.scopes.TimeScopeBlockCfg" } Block { BlockType Sin SineType "Time based" TimeSource "Use simulation time" Amplitude "1" Bias "0" Frequency "1" Phase "0" Samples "10" Offset "0" SampleTime "-1" VectorParams1D on } Block { BlockType Step Time "1" Before "0" After "1" OutDataTypeStr "double" SampleTime "-1" VectorParams1D on ZeroCross on } Block { BlockType Sum IconShape "round" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT off AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit via internal rule" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow off SampleTime "-1" } } System { Name "model_simulation" Location [75, 0, 1252, 737] SystemRect [0.000000, 0.000000, 0.000000, 0.000000] Open on PortBlocksUseCompactNotation off SetExecutionDomain off ExecutionDomainType "Deduce" ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "automatic" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "a4letter" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "46" SimulinkSubDomain "Simulink" Block { BlockType Constant Name "Constant" SID "1" Position [220, 210, 250, 240] ZOrder -1 Value "0" } Block { BlockType Constant Name "Constant1" SID "2" Position [360, 330, 390, 360] ZOrder -2 Value "0" } Block { BlockType Constant Name "Constant2" SID "3" Position [610, 500, 640, 530] ZOrder -3 Value "0" } Block { BlockType Demux Name "Demux" SID "4" Ports [1, 3] Position [330, 160, 700, 165] ZOrder -4 BlockRotation 270 BlockMirror on BackgroundColor "black" NameLocation "left" ShowName off Outputs "3" } Block { BlockType DigitalClock Name "Digital Clock" SID "5" Position [110, 23, 175, 47] ZOrder -5 SampleTime "0.1" } Block { BlockType Display Name "Display" SID "6" Ports [1] Position [280, 20, 370, 50] ZOrder -6 Decimation "1" } Block { BlockType ManualSwitch Name "Manual Switch" SID "7" Position [310, 178, 340, 242] ZOrder -7 CurrentSetting "0" } Block { BlockType ManualSwitch Name "Manual Switch1" SID "8" Position [450, 298, 480, 362] ZOrder -8 } Block { BlockType ManualSwitch Name "Manual Switch2" SID "9" Position [705, 468, 735, 532] ZOrder -9 CurrentSetting "0" } Block { BlockType Mux Name "Mux" SID "10" Ports [2, 1] Position [195, 446, 200, 484] ZOrder -10 ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Mux Name "Mux1" SID "11" Ports [2, 1] Position [265, 641, 270, 679] ZOrder -11 ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Mux Name "Mux2" SID "12" Ports [2, 1] Position [345, 881, 350, 919] ZOrder -12 ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType DiscreteStateSpace Name "Osservatore Uscita #1" SID "13" Position [230, 426, 360, 504] ZOrder -13 BackgroundColor "cyan" A "Ao1" B "Bo1" C "Co1" D "Do1" SampleTime "Tc" } Block { BlockType DiscreteStateSpace Name "Osservatore Uscita #2" SID "14" Position [295, 621, 450, 699] ZOrder -14 BackgroundColor "cyan" A "Ao2" B "Bo2" C "Co2" D "Do2" SampleTime "Tc" } Block { BlockType DiscreteStateSpace Name "Osservatore Uscita #3" SID "15" Position [375, 861, 530, 939] ZOrder -15 BackgroundColor "cyan" A "Ao3" B "Bo3" C "Co3" D "Do3" SampleTime "Tc" } Block { BlockType DiscreteStateSpace Name "Processo" SID "16" Position [170, 80, 280, 160] ZOrder -16 A "A" B "B" C "C" D "D" InitialCondition "x0" SampleTime "Tc" } Block { BlockType Scope Name "Residuo\nUscita #2" SID "17" Ports [1] Position [650, 644, 680, 676] ZOrder -17 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLogging',true,'DataLoggingVariableName','r2','DataLoggingSaveFormat','Array','" "DataLoggingDecimation','1','DataLoggingDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'Se" "rializedDisplays',{struct('MinYLimReal','-0.132','MaxYLimReal','0.32727','YLabelReal','','MinYLimMag','0.00000'," "'MaxYLimMag','0.32727','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 " "0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;" "0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 " "0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392" "156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineNam" "es',{{'Sum4'}},'ShowContent',true,'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-0.132','MaxYL" "imReal','0.32727','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','0.32727','LegendVisibility','off','XGrid'" ",true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922" " 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882" "353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 " "1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache'," "{{}},'UserDefinedChannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1)),extmgr.Co" "nfiguration('Tools','Plot Navigation',true,'OnceAtStop',false),extmgr.Configuration('Tools','Measurements',true," "'Version','2021a')),'Version','2021a','Location',[405 79.0000000000001 1072.33333333333 698.333333333333])" NumInputPorts "1" Floating off } Block { BlockType Scope Name "Residuo\nUscita #3" SID "18" Ports [1] Position [820, 884, 850, 916] ZOrder -18 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLogging',true,'DataLoggingVariableName','r3','DataLoggingSaveFormat','Array','" "DataLoggingDecimation','1','DataLoggingDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'Se" "rializedDisplays',{struct('MinYLimReal','-0.12075','MaxYLimReal','0.31095','YLabelReal','','MinYLimMag','0.00000" "','MaxYLimMag','0.31095','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 " "0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.066666666666666" "7;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.83137254901960" "8 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.6509803" "92156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineN" "ames',{{'Sum5'}},'ShowContent',true,'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-0.12075','M" "axYLimReal','0.31095','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','0.31095','LegendVisibility','off','XG" "rid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.68627450980" "3922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.41176470" "5882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921" "569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCac" "he',{{}},'UserDefinedChannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1)),extmg" "r.Configuration('Tools','Plot Navigation',true,'OnceAtStop',false),extmgr.Configuration('Tools','Measurements',t" "rue,'Version','2021a')),'Version','2021a','Location',[188 365 512 604])" NumInputPorts "1" Floating off } Block { BlockType Scope Name "Residuo \nUscita #1" SID "19" Ports [1] Position [485, 449, 515, 481] ZOrder -19 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLogging',true,'DataLoggingVariableName','r1','DataLoggingSaveFormat','Array','" "DataLoggingDecimation','1','DataLoggingDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'Se" "rializedDisplays',{struct('MinYLimReal','-1.29925','MaxYLimReal','0.19992','YLabelReal','','MinYLimMag','0.00000" "','MaxYLimMag','1.29925','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 " "0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.066666666666666" "7;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.83137254901960" "8 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.6509803" "92156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineN" "ames',{{'Sum3'}},'ShowContent',true,'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-1.29925','M" "axYLimReal','0.19992','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','1.29925','LegendVisibility','off','XG" "rid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.68627450980" "3922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.41176470" "5882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921" "569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCac" "he',{{}},'UserDefinedChannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1)),extmg" "r.Configuration('Tools','Plot Navigation',true,'OnceAtStop',false),extmgr.Configuration('Tools','Measurements',t" "rue,'Version','2021a')),'Version','2021a','Location',[321.666666666667 79.0000000000001 991.666666666667 670.333" "333333333])" NumInputPorts "1" Floating off } Block { BlockType Scope Name "Scope" SID "46" Ports [3] Position [960, 606, 1000, 714] ZOrder 1 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingVariableName','ScopeData3'),extmgr.Configuration('Visuals','Time Domain" "',true,'SerializedDisplays',{struct('MinYLimReal','-1.29925','MaxYLimReal','0.19992','YLabelReal','','MinYLimMag" "','0.00000','MaxYLimMag','1.29925','LegendVisibility','Off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'Axes" "Color',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.06666" "66666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.8313" "72549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686" " 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines" "',1,'LineNames',{{'Sum3'}},'ShowContent',true,'Placement',1),struct('MinYLimReal','-0.39947','MaxYLimReal','2.73" "454','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','LegendVisibility','off','XGrid',true,'YGrid',true,'Plot" "MagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'Col" "orOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.3" "92156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1" ";1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannel" "Names',{{}},'NumLines',1,'LineNames',{{'Sum4'}},'ShowContent',true,'Placement',2),struct('MinYLimReal','-0.12075" "','MaxYLimReal','0.31095','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','LegendVisibility','off','XGrid',tr" "ue,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0." "686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353" " 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0" ".0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}" "},'UserDefinedChannelNames',{{}},'NumLines',1,'LineNames',{{'Sum5'}},'ShowContent',true,'Placement',3)},'Display" "PropertyDefaults',struct('YLabelReal','','AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.68627450980392" "2 0.686274509803922],'ColorOrder',[0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;1" " 1 0.0666666666666667;0.717647058823529 0.274509803921569 1;0.392156862745098 0.831372549019608 0.07450980392156" "86;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863]),'DisplayLayoutDimensions',[3 1],'DisplayConte" "ntCache',[]),extmgr.Configuration('Tools','Plot Navigation',true),extmgr.Configuration('Tools','Measurements',tr" "ue,'Version','2021a')),'Version','2021a','Position',[313.666666666667 29 818 612])" NumInputPorts "3" Floating off } Block { BlockType Sin Name "Sine Wave" SID "20" Ports [0, 1] Position [65, 105, 95, 135] ZOrder -20 SampleTime "Tc" } Block { BlockType Sum Name "Sum" SID "21" Ports [2, 1] Position [380, 200, 400, 220] ZOrder -21 BackgroundColor "magenta" ShowName off Inputs "++|" SaturateOnIntegerOverflow on } Block { BlockType Sum Name "Sum1" SID "22" Ports [2, 1] Position [505, 250, 525, 270] ZOrder -22 BackgroundColor "magenta" ShowName off Inputs "++|" SaturateOnIntegerOverflow on } Block { BlockType Sum Name "Sum2" SID "23" Ports [2, 1] Position [630, 315, 650, 335] ZOrder -23 BackgroundColor "magenta" ShowName off Inputs "++|" SaturateOnIntegerOverflow on } Block { BlockType Sum Name "Sum3" SID "24" Ports [2, 1] Position [400, 455, 420, 475] ZOrder -24 ShowName off Inputs "+-|" SaturateOnIntegerOverflow on } Block { BlockType Sum Name "Sum4" SID "25" Ports [2, 1] Position [525, 650, 545, 670] ZOrder -25 ShowName off Inputs "+-|" SaturateOnIntegerOverflow on } Block { BlockType Sum Name "Sum5" SID "26" Ports [2, 1] Position [655, 890, 675, 910] ZOrder -26 ShowName off Inputs "+-|" SaturateOnIntegerOverflow on } Block { BlockType Scope Name "Uscita #1" SID "27" Ports [1] Position [440, 194, 470, 226] ZOrder -27 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingSaveFormat','StructureWithTime','DataLoggingLimitDataPoints',true,'Data" "LoggingDecimation','1','DataLoggingDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'Serial" "izedDisplays',{struct('MinYLimReal','-5','MaxYLimReal','5','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','L" "egendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686" "274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.6235" "29411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.7176" "47058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineNames',{{'Sum'}},'ShowConten" "t',true,'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-5','MaxYLimReal','5','YLabelReal','','M" "inYLimMag','0','MaxYLimMag','10','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesCo" "lor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666" "666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372" "549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0" ".650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines'," "0,'LineNames',{{[]}},'ShowContent',true,'Placement',1)),extmgr.Configuration('Tools','Plot Navigation',true,'Onc" "eAtStop',false),extmgr.Configuration('Tools','Measurements',true,'Version','2021a')),'Version','2021a','Location" "',[384.333333333333 152.333333333333 1094.33333333333 683.666666666667])" NumInputPorts "1" Floating off } Block { BlockType Scope Name "Uscita #2" SID "28" Ports [1] Position [560, 244, 590, 276] ZOrder -28 ScopeSpecificationString "C++SS(StrPVP('Location','[188, 365, 512, 604]'),StrPVP('Open','off'),StrPVP('TickLabel" "s','OneTimeTick'),StrPVP('ZoomMode','on'),MxPVP('AxesTitles',54,'struct(''axes1'',''%'')'),StrPVP('" "Grid','on'),StrPVP('TimeRange','auto'),StrPVP('YMin','-5'),StrPVP('YMax','5'),StrPVP('SaveToWorkspace','off'),St" "rPVP('SaveName','ScopeData1'),StrPVP('DataFormat','StructureWithTime'),StrPVP('LimitDataPoints','on'),StrPVP('Ma" "xDataPoints','5000'),StrPVP('Decimation','1'),StrPVP('BlockParamSampleInput','off'),StrPVP('BlockParamSampleTime" "','0'))" NumInputPorts "1" Floating off } Block { BlockType Scope Name "Uscita #3" SID "29" Ports [1] Position [695, 309, 725, 341] ZOrder -29 ScopeSpecificationString "C++SS(StrPVP('Location','[188, 365, 512, 604]'),StrPVP('Open','off'),StrPVP('TickLabel" "s','OneTimeTick'),StrPVP('ZoomMode','on'),MxPVP('AxesTitles',54,'struct(''axes1'',''%'')'),StrPVP('" "Grid','on'),StrPVP('TimeRange','auto'),StrPVP('YMin','-5'),StrPVP('YMax','5'),StrPVP('SaveToWorkspace','off'),St" "rPVP('SaveName','ScopeData2'),StrPVP('DataFormat','StructureWithTime'),StrPVP('LimitDataPoints','on'),StrPVP('Ma" "xDataPoints','5000'),StrPVP('Decimation','1'),StrPVP('BlockParamSampleInput','off'),StrPVP('BlockParamSampleTime" "','0'))" NumInputPorts "1" Floating off } Block { BlockType Step Name "fy(t) #1" SID "30" Position [180, 180, 210, 210] ZOrder -30 Time "tf1" SampleTime "Tc" } Block { BlockType Step Name "fy(t) #2" SID "31" Position [315, 300, 345, 330] ZOrder -31 Time "tf2" SampleTime "Tc" } Block { BlockType Step Name "fy(t) #3" SID "32" Position [565, 470, 595, 500] ZOrder -32 Time "tf3" SampleTime "Tc" } Line { ZOrder 1 SrcBlock "Sine Wave" SrcPort 1 Points [10, 0] Branch { ZOrder 2 DstBlock "Processo" DstPort 1 } Branch { ZOrder 3 Points [0, 335] Branch { ZOrder 4 DstBlock "Mux" DstPort 1 } Branch { ZOrder 5 Points [0, 195] Branch { ZOrder 6 DstBlock "Mux1" DstPort 1 } Branch { ZOrder 7 Points [0, 240] DstBlock "Mux2" DstPort 1 } } } } Line { ZOrder 8 SrcBlock "Processo" SrcPort 1 Points [230, 0] DstBlock "Demux" DstPort 1 } Line { ZOrder 9 SrcBlock "Osservatore Uscita #1" SrcPort 1 DstBlock "Sum3" DstPort 2 } Line { ZOrder 10 SrcBlock "Mux" SrcPort 1 DstBlock "Osservatore Uscita #1" DstPort 1 } Line { ZOrder 11 SrcBlock "Demux" SrcPort 1 DstBlock "Sum" DstPort 1 } Line { ZOrder 12 SrcBlock "Demux" SrcPort 2 DstBlock "Sum1" DstPort 1 } Line { ZOrder 13 SrcBlock "Demux" SrcPort 3 DstBlock "Sum2" DstPort 1 } Line { ZOrder 14 SrcBlock "Digital Clock" SrcPort 1 DstBlock "Display" DstPort 1 } Line { ZOrder 15 SrcBlock "Sum" SrcPort 1 Points [5, 0] Branch { ZOrder 16 DstBlock "Uscita #1" DstPort 1 } Branch { ZOrder 17 Points [0, 65; -145, 0; 0, 120] Branch { ZOrder 18 Points [-115, 0; 0, 80] DstBlock "Mux" DstPort 2 } Branch { ZOrder 19 Points [145, 0] DstBlock "Sum3" DstPort 1 } } } Line { ZOrder 20 SrcBlock "Sum1" SrcPort 1 Points [5, 0] Branch { ZOrder 21 DstBlock "Uscita #2" DstPort 1 } Branch { ZOrder 22 Points [0, 315] Branch { ZOrder 23 Points [-350, 0; 0, 95] DstBlock "Mux1" DstPort 2 } Branch { ZOrder 24 DstBlock "Sum4" DstPort 1 } } } Line { ZOrder 25 SrcBlock "Sum2" SrcPort 1 Points [10, 0] Branch { ZOrder 26 DstBlock "Uscita #3" DstPort 1 } Branch { ZOrder 27 Points [0, 70; 125, 0; 0, 405; -125, 0] Branch { ZOrder 28 Points [-390, 0; 0, 110] DstBlock "Mux2" DstPort 2 } Branch { ZOrder 29 DstBlock "Sum5" DstPort 1 } } } Line { ZOrder 30 SrcBlock "Sum3" SrcPort 1 Points [41, 0] Branch { ZOrder 47 Points [0, 160] DstBlock "Scope" DstPort 1 } Branch { ZOrder 46 DstBlock "Residuo \nUscita #1" DstPort 1 } } Line { ZOrder 31 SrcBlock "Osservatore Uscita #2" SrcPort 1 DstBlock "Sum4" DstPort 2 } Line { ZOrder 32 SrcBlock "Mux1" SrcPort 1 DstBlock "Osservatore Uscita #2" DstPort 1 } Line { ZOrder 33 SrcBlock "Sum4" SrcPort 1 Points [25, 0] Branch { ZOrder 50 Points [0, -26; 300, 0; 0, 26] DstBlock "Scope" DstPort 2 } Branch { ZOrder 49 DstBlock "Residuo\nUscita #2" DstPort 1 } } Line { ZOrder 34 SrcBlock "Osservatore Uscita #3" SrcPort 1 DstBlock "Sum5" DstPort 2 } Line { ZOrder 35 SrcBlock "Mux2" SrcPort 1 DstBlock "Osservatore Uscita #3" DstPort 1 } Line { ZOrder 36 SrcBlock "Sum5" SrcPort 1 Points [107, 0] Branch { ZOrder 52 Points [0, -58; 119, 0; 0, -147] DstBlock "Scope" DstPort 3 } Branch { ZOrder 51 DstBlock "Residuo\nUscita #3" DstPort 1 } } Line { ZOrder 37 SrcBlock "fy(t) #1" SrcPort 1 DstBlock "Manual Switch" DstPort 1 } Line { ZOrder 38 SrcBlock "Manual Switch" SrcPort 1 DstBlock "Sum" DstPort 2 } Line { ZOrder 39 SrcBlock "Constant" SrcPort 1 DstBlock "Manual Switch" DstPort 2 } Line { ZOrder 40 SrcBlock "fy(t) #2" SrcPort 1 DstBlock "Manual Switch1" DstPort 1 } Line { ZOrder 41 SrcBlock "Constant1" SrcPort 1 DstBlock "Manual Switch1" DstPort 2 } Line { ZOrder 42 SrcBlock "Manual Switch1" SrcPort 1 Points [0, -70] DstBlock "Sum1" DstPort 2 } Line { ZOrder 43 SrcBlock "fy(t) #3" SrcPort 1 DstBlock "Manual Switch2" DstPort 1 } Line { ZOrder 44 SrcBlock "Constant2" SrcPort 1 DstBlock "Manual Switch2" DstPort 2 } Line { ZOrder 45 SrcBlock "Manual Switch2" SrcPort 1 Points [0, -65; -165, 0; 0, -110] DstBlock "Sum2" DstPort 2 } Annotation { SID "45" Name "\n" "\n

Sensori di uscita" "

" Position [672, 232, 791, 252] InternalMargins [0, 0, 0, 0] HorizontalAlignment "left" VerticalAlignment "top" Interpreter "rich" } Annotation { SID "44" Name "\n" "\n

y*_3

" Position [648, 178, 682, 198] InternalMargins [0, 0, 0, 0] HorizontalAlignment "left" VerticalAlignment "top" Interpreter "rich" } Annotation { SID "43" Name "\n" "\n

y*_2

" Position [527, 178, 561, 198] InternalMargins [0, 0, 0, 0] HorizontalAlignment "left" VerticalAlignment "top" Interpreter "rich" } Annotation { SID "42" Name "\n" "\n

y*_1

" Position [350, 172, 384, 192] InternalMargins [0, 0, 0, 0] HorizontalAlignment "left" VerticalAlignment "top" Interpreter "rich" } Annotation { SID "33" Name "u" Position [166, 440, 173, 454] InternalMargins [0, 0, 0, 0] ZOrder -1 } Annotation { SID "34" Name "y_1" Position [164, 491, 182, 505] InternalMargins [0, 0, 0, 0] ZOrder -2 } Annotation { SID "35" Name "u" Position [227, 637, 234, 651] InternalMargins [0, 0, 0, 0] ZOrder -3 } Annotation { SID "36" Name "y_2" Position [233, 687, 251, 701] InternalMargins [0, 0, 0, 0] ZOrder -4 } Annotation { SID "37" Name "u" Position [311, 874, 318, 888] InternalMargins [0, 0, 0, 0] ZOrder -5 } Annotation { SID "38" Name "y_3" Position [309, 922, 327, 936] InternalMargins [0, 0, 0, 0] ZOrder -6 } Annotation { SID "39" Name "r_1(t)" Position [446, 449, 471, 463] InternalMargins [0, 0, 0, 0] ZOrder -7 } Annotation { SID "40" Name "r_2(t)" Position [595, 644, 620, 658] InternalMargins [0, 0, 0, 0] ZOrder -8 } Annotation { SID "41" Name "r_3(t)" Position [745, 877, 770, 891] InternalMargins [0, 0, 0, 0] ZOrder -9 } } }