# $Revision: 1.1.8.1 $ Model { Name "pendcart_fl_sm_na" Version 7.9 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.142" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" PreLoadFcn "initpend\n" SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 Created "Tue Sep 14 01:24:40 2004" Creator "The MathWorks Inc." UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "Silvio" ModifiedDateFormat "%" LastModifiedDate "Thu Oct 17 09:20:32 2013" RTWModifiedTimeStamp 303902391 ModelVersionFormat "1.%" ConfigurationManager "None" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines on ShowLineDimensions off ShowPortDataTypes off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.12.0" Array { Type "Handle" Dimension 8 Simulink.SolverCC { $ObjectID 2 Version "1.12.0" StartTime "0" StopTime "Tfin" AbsTol "1e-6" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "0.01" MinStep "0.0001" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "SingleTasking" EnableConcurrentExecution off ConcurrentTasks off Solver "ode45" SolverName "ode45" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 3 Version "1.12.0" Decimation "1" ExternalInput "[]" FinalStateName "xFinal" InitialState "[]" LimitDataPoints off MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput off SaveState off SignalLogging on DSMLogging on InspectSignalLogs off SaveTime off ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Version "1.12.0" Array { Type "Cell" Dimension 8 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } BlockReduction off BooleanDataType off ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseFloatMulNetSlope off UseSpecifiedMinMax off InlineInvariantSignals on OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off ParallelExecutionInRapidAccelerator on } Simulink.DebuggingCC { $ObjectID 5 Version "1.12.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "none" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Enable All" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "warning" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "None" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnconditionalTransitionShadowingDiag "warning" } Simulink.HardwareCC { $ObjectID 6 Version "1.12.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown on ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.12.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 8 Version "1.12.0" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 9 Version "1.12.0" Array { Type "Cell" Dimension 9 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" PropName "DisabledProps" } SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime on GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off RTWCompilerOptimization "Off" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 10 Version "1.12.0" Array { Type "Cell" Dimension 21 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 11 Version "1.12.0" Array { Type "Cell" Dimension 16 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "PortableWordSizes" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" CodeReplacementLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" CodeExecutionProfiling off ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off GRTInterface on UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" ExtraOptions "-aEnforceIntegerDowncast=1 -aPrefixModelToSubsysFcnNames=1 " CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 243, 69, 1123, 699 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } BlockParameterDefaults { Block { BlockType Clock DisplayTime off Decimation "10" } Block { BlockType DiscretePulseGenerator PulseType "Sample based" TimeSource "Use simulation time" Amplitude "1" Period "2" PulseWidth "1" PhaseDelay "0" SampleTime "1" VectorParams1D on } Block { BlockType Fcn Expr "sin(u[1])" SampleTime "-1" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Product Inputs "2" Multiplication "Element-wise(.*)" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType RandomNumber Mean "0" Variance "1" Seed "0" SampleTime "-1" VectorParams1D on } Block { BlockType Saturate UpperLimitSource "Dialog" UpperLimit "0.5" LowerLimitSource "Dialog" LowerLimit "-0.5" LinearizeAsGain on ZeroCross on SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" DataFormat "Array" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType Step Time "1" Before "0" After "1" SampleTime "-1" VectorParams1D on ZeroCross on } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" SFBlockType "NONE" Variant off GeneratePreprocessorConditionals off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SampleTime "0" SaveFormat "Array" FixptAsFi off NumInputs "1" } } System { Name "pendcart_fl_sm_na" Location [2, 74, 1364, 747] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "71" Block { BlockType Clock Name "Clock" SID "1" Position [580, 140, 600, 160] ShowName off } Block { BlockType DiscretePulseGenerator Name "Disturbo\n(botte all'asta..)1" SID "2" Ports [0, 1] Position [265, 141, 295, 169] PulseType "Time based" Amplitude "Botta" Period "PeriodoBotta" PulseWidth "PWBotta" PhaseDelay "DelayBotta" } Block { BlockType SubSystem Name "Double click here" SID "3" Ports [] Position [146, 27, 185, 58] BackgroundColor "yellow" DropShadow on OpenFcn "plotpend" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskDisplay "disp('PLOT');" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" System { Name "Double click here" Location [158, 172, 656, 472] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" } } Block { BlockType SubSystem Name "Init.." SID "4" Ports [] Position [36, 27, 75, 58] BackgroundColor "yellow" DropShadow on OpenFcn "initsmc" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskDisplay "disp('INIT');" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" System { Name "Init.." Location [158, 172, 656, 472] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" } } Block { BlockType SubSystem Name "More Info" SID "5" Ports [] Position [245, 280, 272, 305] DropShadow on ShowName off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskDisplay "disp('?')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" System { Name "More Info" Location [127, 169, 475, 352] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Annotation { SID "6" Name "This system simulates a cart carrying an inverted\npendulum and displays an animation." Position [162, 22] } Annotation { SID "7" Name "The animation is created using MATLAB's \nHandle Graphics. The animation block is \njust a masked S-funct" "ion." Position [162, 67] } Annotation { SID "8" Name "For more information on what the S-function \ndoes, look at the functions pendan.m, pend0.m, \nand pendse" "ts.m." Position [162, 122] } } } Block { BlockType Mux Name "Mux" SID "9" Ports [4, 1] Position [560, 30, 590, 70] ShowName off } Block { BlockType Mux Name "Mux1" SID "10" Ports [4, 1] Position [375, 231, 380, 269] BlockMirror on ShowName off DisplayOption "bar" } Block { BlockType SubSystem Name "Pendulum" SID "11" Ports [2, 4] Position [310, 86, 440, 174] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "Inverted pendulum on cart" MaskDescription "Look under mask to see dynamics" MaskHelp "Nonlinear dynamics of inverted pendulum on cart." MaskDisplay "plot([-10 10],[0 0],[-2 -2 2 2],[0 1 1 0],[0 -2],[1 5])" MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" System { Name "Pendulum" Location [113, 142, 717, 458] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Force" SID "12" Position [15, 20, 35, 40] IconDisplay "Port number" } Block { BlockType Inport Name "Torque" SID "13" Position [25, 200, 45, 220] Port "2" IconDisplay "Port number" } Block { BlockType Sum Name "Add" SID "14" Ports [2, 1] Position [260, 150, 280, 170] IconShape "round" Inputs "|++" SaturateOnIntegerOverflow off } Block { BlockType Fcn Name "Fcn1" SID "15" Position [210, 30, 250, 50] ShowName off Expr "(u[1]/m - g*sin(u[3])*cos(u[3]) + l*power(u[2],2)*sin(u[3]))/(M/m + power(sin(u[3]),2))" } Block { BlockType Fcn Name "Fcn2" SID "16" Position [205, 150, 245, 170] ShowName off Expr "(-u[1]*cos(u[3])/m + (M+m)*g*sin(u[3])/m - l*power(u[2],2)*sin(u[3])*cos(u[3]))/(l*(M/m + power(sin(u[3])" ",2)))" } Block { BlockType Integrator Name "Integrator1" SID "17" Ports [1, 1] Position [385, 30, 405, 50] ShowName off InitialCondition "x0" } Block { BlockType Integrator Name "Integrator2" SID "18" Ports [1, 1] Position [380, 150, 400, 170] ShowName off InitialCondition "theta0" } Block { BlockType Integrator Name "Integrator3" SID "19" Ports [1, 1] Position [300, 150, 320, 170] ShowName off } Block { BlockType Integrator Name "Integrator4" SID "20" Ports [1, 1] Position [305, 30, 325, 50] ShowName off } Block { BlockType Mux Name "Mux" SID "21" Ports [3, 1] Position [135, 144, 165, 176] ShowName off Inputs "3" } Block { BlockType Mux Name "Mux1" SID "22" Ports [3, 1] Position [140, 24, 170, 56] ShowName off Inputs "3" } Block { BlockType Outport Name "X" SID "23" Position [470, 30, 490, 50] IconDisplay "Port number" InitialOutput "0" } Block { BlockType Outport Name "Xdot" SID "24" Position [470, 70, 490, 90] Port "2" IconDisplay "Port number" InitialOutput "0" } Block { BlockType Outport Name "Theta" SID "25" Position [475, 150, 495, 170] Port "3" IconDisplay "Port number" InitialOutput "0" } Block { BlockType Outport Name "ThetaDot" SID "26" Position [475, 190, 495, 210] Port "4" IconDisplay "Port number" InitialOutput "0" } Line { SrcBlock "Integrator1" SrcPort 1 DstBlock "X" DstPort 1 } Line { SrcBlock "Mux" SrcPort 1 DstBlock "Fcn2" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "Fcn1" DstPort 1 } Line { SrcBlock "Fcn1" SrcPort 1 DstBlock "Integrator4" DstPort 1 } Line { SrcBlock "Integrator4" SrcPort 1 Points [20, 0] Branch { DstBlock "Integrator1" DstPort 1 } Branch { Points [0, 40] DstBlock "Xdot" DstPort 1 } } Line { SrcBlock "Force" SrcPort 1 Points [30, 0] Branch { DstBlock "Mux1" DstPort 1 } Branch { Points [0, 120] DstBlock "Mux" DstPort 1 } } Line { SrcBlock "Integrator3" SrcPort 1 Points [0, 0; 20, 0] Branch { DstBlock "Integrator2" DstPort 1 } Branch { Points [0, -35; -270, 0] Branch { Points [0, -85] DstBlock "Mux1" DstPort 2 } Branch { Points [0, 35] DstBlock "Mux" DstPort 2 } } Branch { Points [0, 40] DstBlock "ThetaDot" DstPort 1 } } Line { SrcBlock "Integrator2" SrcPort 1 Points [20, 0] Branch { DstBlock "Theta" DstPort 1 } Branch { Points [0, -50; -335, 0] Branch { Points [0, -60] DstBlock "Mux1" DstPort 3 } Branch { Points [0, 60] DstBlock "Mux" DstPort 3 } } } Line { SrcBlock "Torque" SrcPort 1 Points [220, 0] DstBlock "Add" DstPort 2 } Line { SrcBlock "Add" SrcPort 1 DstBlock "Integrator3" DstPort 1 } Line { SrcBlock "Fcn2" SrcPort 1 DstBlock "Add" DstPort 1 } } } Block { BlockType RandomNumber Name "Random\nNumber" SID "27" Position [170, 170, 200, 200] BlockRotation 270 Variance "0.05" SampleTime "0.1" } Block { BlockType Saturate Name "Saturation" SID "28" Ports [1, 1] Position [210, 95, 240, 125] InputPortMap "u0" UpperLimit "Fmax" LowerLimit "-Fmax" } Block { BlockType Scope Name "Scope" SID "29" Ports [1] Position [320, 207, 350, 223] Floating off Location [5, 56, 1285, 1004] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off YMin "-4.5e-010" YMax "2.5e-011" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope1" SID "30" Ports [1] Position [125, 167, 155, 183] Floating off Location [5, 49, 1285, 997] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off YMin "0.05" YMax "0.0875" SaveName "ScopeData1" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType SubSystem Name "Sliding Mode Control" SID "31" Ports [1, 1] Position [46, 195, 74, 220] BlockRotation 270 BackgroundColor "orange" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Sliding Mode Control" Location [276, 247, 1107, 862] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "I" SID "32" Position [45, 118, 75, 132] IconDisplay "Port number" } Block { BlockType Sum Name "Add" SID "33" Ports [4, 1] Position [480, 69, 500, 126] Inputs "++++" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add1" SID "34" Ports [2, 1] Position [700, 232, 720, 288] Inputs "+-" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add2" SID "35" Ports [4, 1] Position [530, 324, 550, 381] Inputs "++++" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Divide" SID "36" Ports [2, 1] Position [610, 347, 640, 378] Inputs "*/" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain" SID "37" Position [300, 61, 415, 89] Gain "lambda^3" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain1" SID "38" Position [310, 109, 425, 141] Gain "3*lambda^2" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain2" SID "39" Position [325, 159, 435, 191] Gain "3*lambda" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain3" SID "40" Position [530, 83, 585, 117] Gain "1/phi" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain4" SID "41" Position [335, 281, 450, 309] Gain "lambda^3" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain5" SID "42" Position [340, 329, 455, 361] Gain "3*lambda^2" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain6" SID "43" Position [345, 379, 455, 411] Gain "3*lambda" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain7" SID "44" Position [640, 160, 710, 195] BlockRotation 270 BlockMirror on NamePlacement "alternate" Gain "Ksmc" SaturateOnIntegerOverflow off } Block { BlockType Mux Name "Mux" SID "45" Ports [4, 1] Position [525, 166, 530, 204] ShowName off DisplayOption "bar" } Block { BlockType Saturate Name "Saturation" SID "46" Ports [1, 1] Position [605, 85, 635, 115] InputPortMap "u0" } Block { BlockType Scope Name "Scope1" SID "47" Ports [1] Position [565, 32, 595, 48] Floating off Location [5, 49, 1285, 997] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off YMin "-0.0055" YMax "0.0055" SaveName "ScopeData2" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType ToWorkspace Name "To Workspace" SID "48" Ports [1] Position [560, 176, 595, 194] ShowName off VariableName "e" MaxDataPoints "inf" } Block { BlockType Fcn Name "a(x)" SID "49" Position [355, 505, 415, 535] Expr "(1/cos(u[3]))*(-g - 3*l*power(u[4],2)*(1/cos(u[3])) + (-3*l*power(u[4],2) - 2*g*cos(u[3]))*sin(u[3])*tan(" "u[3]))/l" } Block { BlockType Fcn Name "feta(x)" SID "50" Position [355, 445, 415, 475] Expr "((1/cos(u[3]))*(power(g,2)*tan(u[3]) + 9*g*l*power(u[4],2)*(1/cos(u[3]))*tan(u[3]) + 5*power(l,2)*power(u" "[4],4)*power((1/cos(u[3])),2)*tan(u[3]) + g*(3*l*power(u[4],2) + 2*g*cos(u[3]))*sin(u[3])*power(tan(u[3]),2) + powe" "r(l,2)*power(u[4],4)*power(tan(u[3]),3)))/l" } Block { BlockType Fcn Name "y" SID "51" Position [200, 60, 260, 90] Expr "u[1] + l*log((1/cos(u[3]))*(1 + sin(u[3])))" } Block { BlockType Fcn Name "y1" SID "52" Position [200, 110, 260, 140] Expr "u[2] + (l*u[4]*((1/cos(u[3])) + tan(u[3])))/(1 + sin(u[3]))" } Block { BlockType Fcn Name "y2" SID "53" Position [200, 160, 260, 190] Expr "(g + l*power(u[4],2)*(1/cos(u[3])))*tan(u[3]) " } Block { BlockType Fcn Name "y3" SID "54" Position [200, 210, 260, 240] Expr "u[4]*power((1/cos(u[3])),2)*(g + l*power(u[4],2)*(1/cos(u[3]))) + u[4]*(2*g + l*power(u[4],2)*(1/cos(u[3]" ")))*power(tan(u[3]),2) " } Block { BlockType Outport Name "O" SID "55" Position [755, 253, 785, 267] IconDisplay "Port number" } Line { SrcBlock "y" SrcPort 1 DstBlock "Gain" DstPort 1 } Line { SrcBlock "y1" SrcPort 1 Points [0, 0; 10, 0] Branch { DstBlock "Gain1" DstPort 1 } Branch { Points [0, 170] DstBlock "Gain4" DstPort 1 } } Line { SrcBlock "y2" SrcPort 1 Points [0, 0; 20, 0] Branch { DstBlock "Gain2" DstPort 1 } Branch { Points [0, 170] DstBlock "Gain5" DstPort 1 } } Line { SrcBlock "y3" SrcPort 1 Points [0, 0; 35, 0] Branch { Points [0, 170] DstBlock "Gain6" DstPort 1 } Branch { Points [165, 0; 0, -25] Branch { DstBlock "Add" DstPort 4 } Branch { DstBlock "Mux" DstPort 4 } } } Line { SrcBlock "Gain2" SrcPort 1 Points [0, 0; 5, 0] Branch { Points [0, -70] DstBlock "Add" DstPort 3 } Branch { Points [0, 15] DstBlock "Mux" DstPort 3 } } Line { SrcBlock "Gain1" SrcPort 1 Points [5, 0] Branch { Points [0, -35] DstBlock "Add" DstPort 2 } Branch { Points [0, 55] DstBlock "Mux" DstPort 2 } } Line { SrcBlock "Gain" SrcPort 1 Points [0, 0; 35, 0] Branch { DstBlock "Add" DstPort 1 } Branch { Points [0, 95] DstBlock "Mux" DstPort 1 } } Line { SrcBlock "I" SrcPort 1 Points [0, 0; 25, 0] Branch { Points [0, -50] DstBlock "y" DstPort 1 } Branch { Points [0, 0] DstBlock "y1" DstPort 1 } Branch { Points [0, 50] Branch { DstBlock "y2" DstPort 1 } Branch { Points [0, 50] Branch { DstBlock "y3" DstPort 1 } Branch { Points [0, 235] Branch { Points [0, 60] DstBlock "a(x)" DstPort 1 } Branch { DstBlock "feta(x)" DstPort 1 } } } } } Line { SrcBlock "Add" SrcPort 1 Points [0, 0; 10, 0] Branch { DstBlock "Gain3" DstPort 1 } Branch { Points [0, -60] DstBlock "Scope1" DstPort 1 } } Line { SrcBlock "Gain3" SrcPort 1 DstBlock "Saturation" DstPort 1 } Line { SrcBlock "Gain4" SrcPort 1 Points [30, 0; 0, 35] DstBlock "Add2" DstPort 1 } Line { SrcBlock "Gain5" SrcPort 1 DstBlock "Add2" DstPort 2 } Line { SrcBlock "Gain6" SrcPort 1 Points [25, 0; 0, -35] DstBlock "Add2" DstPort 3 } Line { SrcBlock "feta(x)" SrcPort 1 Points [95, 0] DstBlock "Add2" DstPort 4 } Line { SrcBlock "Add1" SrcPort 1 DstBlock "O" DstPort 1 } Line { SrcBlock "Saturation" SrcPort 1 Points [35, 0] DstBlock "Gain7" DstPort 1 } Line { SrcBlock "Add2" SrcPort 1 DstBlock "Divide" DstPort 1 } Line { SrcBlock "a(x)" SrcPort 1 Points [175, 0] DstBlock "Divide" DstPort 2 } Line { SrcBlock "Divide" SrcPort 1 Points [20, 0; 0, -90] DstBlock "Add1" DstPort 2 } Line { SrcBlock "Gain7" SrcPort 1 Points [0, 45] DstBlock "Add1" DstPort 1 } Line { SrcBlock "Mux" SrcPort 1 DstBlock "To Workspace" DstPort 1 } Annotation { SID "56" Name "b(x)" Position [575, 338] FontSize 20 FontWeight "bold" } Annotation { SID "57" Name "a(x)" Position [575, 538] FontSize 20 FontWeight "bold" } Annotation { SID "58" Name "s(x)" Position [635, 43] FontSize 20 FontWeight "bold" } Annotation { SID "59" Name "sat(s/phi)" Position [730, 118] FontSize 20 FontWeight "bold" } } } Block { BlockType Step Name "Step" SID "60" Position [515, 280, 545, 310] BlockRotation 270 Time "TstepX" After "StepX" SampleTime "0" } Block { BlockType Sum Name "Sum1" SID "61" Ports [2, 1] Position [175, 100, 195, 120] ShowName off IconShape "round" Inputs "|+-" } Block { BlockType Sum Name "Sum2" SID "62" Ports [2, 1] Position [480, 225, 500, 245] BlockMirror on ShowName off Inputs "+-" } Block { BlockType ToWorkspace Name "To Workspace1" SID "63" Ports [1] Position [640, 141, 675, 159] ShowName off VariableName "tsmc" MaxDataPoints "inf" } Block { BlockType ToWorkspace Name "To Workspace2" SID "64" Ports [1] Position [635, 96, 670, 114] ShowName off VariableName "ysmc" MaxDataPoints "inf" } Block { BlockType SubSystem Name "partial Feedback Lin" SID "65" Ports [2, 1] Position [85, 89, 160, 131] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "partial Feedback Lin" Location [433, 403, 814, 552] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Full State" SID "66" Position [45, 43, 75, 57] IconDisplay "Port number" } Block { BlockType Inport Name "v" SID "67" Position [45, 78, 75, 92] Port "2" IconDisplay "Port number" } Block { BlockType Fcn Name "Fcn" SID "68" Position [200, 55, 260, 85] Expr "(M+ m*power(cos(u[3]),2))*u[5] + m*g*cos(u[3])*sin(u[3]) - m*l*u[4]^2*sin(u[3])" } Block { BlockType Mux Name "Mux" SID "69" Ports [2, 1] Position [145, 31, 150, 104] ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Outport Name "Force" SID "70" Position [295, 63, 325, 77] IconDisplay "Port number" } Line { SrcBlock "Full State" SrcPort 1 DstBlock "Mux" DstPort 1 } Line { SrcBlock "v" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "Mux" SrcPort 1 DstBlock "Fcn" DstPort 1 } Line { SrcBlock "Fcn" SrcPort 1 DstBlock "Force" DstPort 1 } } } Line { SrcBlock "Mux" SrcPort 1 Points [10, 0; 0, 55] DstBlock "To Workspace2" DstPort 1 } Line { SrcBlock "Clock" SrcPort 1 DstBlock "To Workspace1" DstPort 1 } Line { SrcBlock "Pendulum" SrcPort 2 Points [30, 0; 0, 125] DstBlock "Mux1" DstPort 2 } Line { SrcBlock "Pendulum" SrcPort 3 Points [20, 0] Branch { Points [0, 115] DstBlock "Mux1" DstPort 3 } Branch { Points [0, -105] DstBlock "Mux" DstPort 1 } } Line { SrcBlock "Pendulum" SrcPort 4 Points [10, 0; 0, 105] DstBlock "Mux1" DstPort 4 } Line { SrcBlock "Mux1" SrcPort 1 Points [-310, 0] Branch { Points [-25, 0; 0, -150] DstBlock "partial Feedback Lin" DstPort 1 } Branch { DstBlock "Sliding Mode Control" DstPort 1 } } Line { SrcBlock "Sliding Mode Control" SrcPort 1 Points [0, -15] Branch { Points [0, -55] DstBlock "partial Feedback Lin" DstPort 2 } Branch { DstBlock "Scope1" DstPort 1 } } Line { SrcBlock "Saturation" SrcPort 1 Points [5, 0] Branch { DstBlock "Pendulum" DstPort 1 } Branch { Points [0, -55] DstBlock "Mux" DstPort 3 } Branch { Points [0, 105] DstBlock "Scope" DstPort 1 } } Line { SrcBlock "Disturbo\n(botte all'asta..)1" SrcPort 1 DstBlock "Pendulum" DstPort 2 } Line { SrcBlock "Sum2" SrcPort 1 DstBlock "Mux1" DstPort 1 } Line { SrcBlock "Pendulum" SrcPort 1 Points [5, 0] Branch { Points [65, 0] DstBlock "Sum2" DstPort 1 } Branch { Points [0, -55] DstBlock "Mux" DstPort 2 } } Line { SrcBlock "Step" SrcPort 1 Points [0, -35] Branch { DstBlock "Sum2" DstPort 2 } Branch { Points [0, -175] DstBlock "Mux" DstPort 4 } } Line { SrcBlock "Random\nNumber" SrcPort 1 DstBlock "Sum1" DstPort 2 } Line { SrcBlock "partial Feedback Lin" SrcPort 1 DstBlock "Sum1" DstPort 1 } Line { SrcBlock "Sum1" SrcPort 1 DstBlock "Saturation" DstPort 1 } Annotation { SID "71" Name "Inverted pendulum on cart with Animation\n(Double click on the \"?\" for more info)" Position [128, 292] } } }